blocked_in Project Status
Project File: BlockedIn.xise Parser Errors: No Errors
Module Name: blocked_in Implementation State: Fitted
Target Device: xc9572xl-5VQ44
  • Errors:
No Errors
Product Version:ISE 14.7
  • Warnings:
1 Warning (1 new)
Design Goal: Balanced
  • Routing Results:
 
Design Strategy: Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: System Settings
  • Final Timing Score:
  
 
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentMon Aug 31 17:49:45 201501 Warning (1 new)0
Translation ReportCurrentMon Aug 31 17:49:51 2015000
CPLD Fitter Report (Text)CurrentMon Aug 31 17:49:53 201501 Warning (1 new)0
Power Report     
 
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogCurrentMon Aug 31 18:21:19 2015
Post-Fit Simulation Model Report  

Date Generated: 10/21/2017 - 20:32:03